スポンサーリンク

ここではQuartusで作った回路のシミュレーションを行う方法を紹介したいと思います。前ページまでの内容で自作CPUのコンパイルと動作確認用プログラムの書き込みができました。これからシミュレータ上で自作CPUの動作確認をしていきます。

Quartusのメニューから「File」->「New」を選択します。

 

表示された選択肢の中から「University Program VWF」を選択し、「OK」を押します。

 

波形エディタが開きます。

 

メニューから「Edit」->「Insert」->「Insert Node or Bus…」を選択します。

 

以下の画面が表示されるので「Node Finder…」を選択します。

 

Node Finderが表示されますので、以下の”List”ボタンを押します。

 

“List”ボタンを押すと「Nodes Found:」の欄にトップモジュールで定義した入出力端子がリストアップされます。

 

“clk”入力端子を選択し、”>”ボタンを押します。

 

スポンサーリンク

“port_1″出力端子を選択し、”>”ボタンを押します。

 

“port_2″出力端子を選択し、”>”ボタンを押します。

 

“port_3″入力端子を選択し、”>”ボタンを押します。

 

“port_4″入力端子を選択し、”>”ボタンを押します。

 

“rst”入力端子を選択し、”>”ボタンを押します。

 

これでシミュレーションに使う端子を全て選択できました。以下の状態で”OK”ボタンを押します。

 

以下の画面が表示されますので、”OK”ボタンを押します。

 

シミュレーションに使用する入出力端子が表示されました。

 

<戻る       次へ>

 

スポンサーリンク